Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

XST synthesis problem

Status
Not open for further replies.

skycanny

Junior Member level 3
Joined
Dec 23, 2004
Messages
30
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
363
I have instantiated 2 gf_mult(just a kind of multiplier)in a vhdl programme,but when synthesizing it, a warning occured:
WARNING:Xst:1989 - Unit <rs_encoding>: instances <mult1>, <mult2> of unit <gf_mult> are equivalent, second instance is removed

could anyone tell me how to solve this problem and instantiate the multiplier that one of the operands is constant ?


And the following is part of the programme

Any help would be appreciate!!!



component gf_mult
generic (width : integer := 8);
port(
clk : in std_logic;
operand1 : in std_logic_vector(width - 1 downto 0);
operand2 : in std_logic_vector(width - 1 downto 0);
gf_product : out std_logic_vector(width - 1 downto 0)
);
end component;


mult1: gf_mult
port map(
clk => clk,
operand1 => temp1,
operand2 => "00111011", --*******???
gf_product => temp2
);

mult2: gf_mult
port map(
clk => clk,
operand1 => temp1,
operand2 => "00111011", --*******?????
gf_product => temp46
);
 

Actually seeing, both mult1 & mult2 instances are doing same task, they are multiplying temp1 & "00111011". The only difference is O/P temp2 for mult1, and temp46 for mult2. Synthesize tool is smart enuff to know that:). so what it does is, it 'll just keep one instance of gf_mult and does the multiplication, but duplicates the result on both temp2 and temp46. If you 'll change the any of the operands of the two instances, it may infer two instances.
 

Thanks for replies
If as you said, the sythesized resule is correspoding to my expectation?
 

hi skycanny
do you mean there is a finite multiplier core that can be generate dby CORE GENERATE?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top