skycanny
Junior Member level 3
I have instantiated 2 gf_mult(just a kind of multiplier)in a vhdl programme,but when synthesizing it, a warning occured:
WARNING:Xst:1989 - Unit <rs_encoding>: instances <mult1>, <mult2> of unit <gf_mult> are equivalent, second instance is removed
could anyone tell me how to solve this problem and instantiate the multiplier that one of the operands is constant ?
And the following is part of the programme
Any help would be appreciate!!!
component gf_mult
generic (width : integer := 8);
port(
clk : in std_logic;
operand1 : in std_logic_vector(width - 1 downto 0);
operand2 : in std_logic_vector(width - 1 downto 0);
gf_product : out std_logic_vector(width - 1 downto 0)
);
end component;
mult1: gf_mult
port map(
clk => clk,
operand1 => temp1,
operand2 => "00111011", --*******???
gf_product => temp2
);
mult2: gf_mult
port map(
clk => clk,
operand1 => temp1,
operand2 => "00111011", --*******?????
gf_product => temp46
);
WARNING:Xst:1989 - Unit <rs_encoding>: instances <mult1>, <mult2> of unit <gf_mult> are equivalent, second instance is removed
could anyone tell me how to solve this problem and instantiate the multiplier that one of the operands is constant ?
And the following is part of the programme
Any help would be appreciate!!!
component gf_mult
generic (width : integer := 8);
port(
clk : in std_logic;
operand1 : in std_logic_vector(width - 1 downto 0);
operand2 : in std_logic_vector(width - 1 downto 0);
gf_product : out std_logic_vector(width - 1 downto 0)
);
end component;
mult1: gf_mult
port map(
clk => clk,
operand1 => temp1,
operand2 => "00111011", --*******???
gf_product => temp2
);
mult2: gf_mult
port map(
clk => clk,
operand1 => temp1,
operand2 => "00111011", --*******?????
gf_product => temp46
);