Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Xilinx clock generation of values like 15.1 or 30.1MHz and so on

Status
Not open for further replies.

sherif123

Member level 3
Joined
Nov 8, 2006
Messages
64
Helped
7
Reputation
14
Reaction score
7
Trophy points
1,288
Activity points
1,804
I have the problem that I need to generate a clock of frequency 20.1 and the no integer relationship between the input crystal clock frequency(ex 27 MHz) and the desired frequency 20.1MHz. Is there a way to generate this using the DCM. The DCM only supports ranges of numbers for multiplication and division.(Xilinx FPGA)
Thanks
 

I have only two crystals for now, but none of them can solve this problem. I just need to test something, if it's valid a new design will be created with every thing correctly chosen.
I heard that I can cascade two DCMs in series but this will cause higher jitter.
 

I heard that I can cascade two DCMs in series but this will cause higher jitter.
And that is exactly right. Can you tell us what the amount of acceptable jitter is for your required 15.1 MHz clock? I'd ask for the phase noise of the oscillator, but usually that's swamped by the fpga added noise anyways.
 

What is this clock going to be used for? is it internal or external?
 

I don't know how much jitter I can tolerate (How much is it I can tolerate?). I need to use this clock internally inside the FPGA and will need to interface with external memory.
The external memory is the second problem I face. I can follow the demo example coming with the board with its UCF file to interface with the memory but this is using the external clock oscillator without modifying it with DCM. If I used DCM with the clock, the memory UCF file uses constraints that I can't really understand and don't know how to modify them to work with a clock generated from DCM not from the crystal oscillator at the input pad.
Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top