Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why we fix Hold after CTS?

Status
Not open for further replies.

Amruth

Member level 1
Joined
Oct 10, 2007
Messages
39
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,288
Activity points
1,482
why we fix Hold after CTS?
 

Hold

after CTS the actual clock network delay will be know and the violation reported will be more realistic, So hold violation is fixed after CTS.
 

Re: Hold

If one tries to fix hold timing violations at synthesis or any stage before CTS, that would mean adding extra buffers to insert delay when there is no good idea of what the delays are. Although Hold violations fixed prior to CTS may not re-appear after CTS, but may result in unneccessary logic.

Parikshit
 

Hold

avoid to insert too many buffers
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top