chxgzl4862
Member level 1
ise 10.1 warning:xst:1780
hello, everybody.
my project is
entity IIC_FSM is
------------------------------------------
port (
IIC_FSM_CLKIN: in std_logic;
IIC_FSM_RST: in std_logic;
IIC_FSM_CS: in std_logic;
-- IIC_FSM_STATS_CS: in std_logic_vector ( 3 downto 0 );
IIC_FSM_NUM: in std_logic_vector ( 7 downto 0 );
IIC_FSM_ECLK: out std_logic;
IIC_FSM_IICCLK: out std_logic
);
------------------------------------------
end IIC_FSM;
architecture Behavioral of IIC_FSM is
------------------------------------------
component IIC_CLK
port (
IIC_CLK_CLKIN: in std_logic;
IIC_CLK_RST: in std_logic;
IIC_CLK_CS: in std_logic;
IIC_CLK_DIVH: in std_logic_vector ( 3 downto 0 );
IIC_CLK_DIVL: in std_logic_vector ( 3 downto 0 );
IIC_CLK_ECLK: out std_logic;
IIC_CLK_CLK: out std_logic
);
end component;
------------------------------------------
------------------------------------------
signal IICFsmClk: std_logic;
signal IICFsmClkFlag: std_logic;
--signal IICFsmSdaIn: std_logic;
signal IICFsmSdaOut: std_logic;
--signal IICFsmStartSdaOut: std_logic;
--signal IICFsmStartSckOut: std_logic;
--signal IICFsmStopSdaOut: std_logic;
--signal IICFsmStopSckOut: std_logic;
--signal IICFsmWriteSdaIn: std_logic;
--signal IICFsmWriteSdaOut: std_logic;
--signal IICFsmWriteSckOut: std_logic;
--signal IICFsmReadSdain: std_logic;
--signal IICFsmReadSckOut: std_logic;
signal IICFsmClkCnt: std_logic_vector ( 3 downto 0 );
signal IICFsmPaseReg: std_logic_vector ( 3 downto 0 );
--signal IICFsmStatsnCS: std_logic_vector ( 7 downto 0 );
------------------------------------------
begin
IIC_FSM_CLK: IIC_CLK
port map (
IIC_CLK_CLKIN => IIC_FSM_CLKIN,
IIC_CLK_RST => IIC_FSM_RST,
IIC_CLK_CS => IIC_FSM_CS,
IIC_CLK_DIVH => IIC_FSM_NUM ( 7 downto 4 ),
IIC_CLK_DIVL => IIC_FSM_NUM ( 3 downto 0 ),
IIC_CLK_ECLK => IIC_FSM_ECLK,
IIC_CLK_CLK => IICFsmClk
);
IIC_FSM_IICCLK <= IICFsmClk;
IIC_FSM_PASE:
process ( IIC_FSM_RST,IIC_FSM_CS,IICFsmClk )
begin
if ( IIC_FSM_RST = '0' ) then
IICFsmClkCnt <= "0000";
IICFsmClkFlag <= '0';
IICFsmPaseReg <= ( others => '0' );
elsif ( rising_edge ( IICFsmClk ) ) then
if ( IIC_FSM_CS = '0' ) then
IICFsmClkCnt <= IICFsmClkCnt + "0001";
case IICFsmClkCnt is
when "0000" =>
IICFsmPaseReg <= "0000";
IICFsmClkFlag <= '1';
when "0001" =>
IICFsmPaseReg <= "0001";
IICFsmClkFlag <= '0';
when "0010" =>
IICFsmPaseReg <= "0010";
IICFsmClkFlag <= '0';
when "0011" =>
IICFsmPaseReg <= "0011";
IICFsmClkFlag <= '0';
when "0100" =>
IICFsmPaseReg <= "0100";
IICFsmClkFlag <= '0';
when "0101" =>
IICFsmPaseReg <= "0101";
IICFsmClkFlag <= '0';
when others =>
IICFsmPaseReg <= "0000";
IICFsmClkFlag <= '0';
end case;
else
IICFsmClkCnt <= "0000";
IICFsmClkFlag <= '0';
end if;
end if;
end process IIC_FSM_PASE;
end Behavioral;
i use ise 10.1
but,i compile it there is some warming
WARNING:Xst:2677 - Node <IICFsmClkCnt_3> of sequential type is unconnected in block <IIC_FSM>.
WARNING:Xst:2677 - Node <IICFsmClkCnt_2> of sequential type is unconnected in block <IIC_FSM>.
WARNING:Xst:2677 - Node <IICFsmClkCnt_1> of sequential type is unconnected in block <IIC_FSM>.
WARNING:Xst:2677 - Node <IICFsmClkCnt_0> of sequential type is unconnected in block <IIC_FSM>.
i want to deal with this warmning,what can i do!
hello, everybody.
my project is
entity IIC_FSM is
------------------------------------------
port (
IIC_FSM_CLKIN: in std_logic;
IIC_FSM_RST: in std_logic;
IIC_FSM_CS: in std_logic;
-- IIC_FSM_STATS_CS: in std_logic_vector ( 3 downto 0 );
IIC_FSM_NUM: in std_logic_vector ( 7 downto 0 );
IIC_FSM_ECLK: out std_logic;
IIC_FSM_IICCLK: out std_logic
);
------------------------------------------
end IIC_FSM;
architecture Behavioral of IIC_FSM is
------------------------------------------
component IIC_CLK
port (
IIC_CLK_CLKIN: in std_logic;
IIC_CLK_RST: in std_logic;
IIC_CLK_CS: in std_logic;
IIC_CLK_DIVH: in std_logic_vector ( 3 downto 0 );
IIC_CLK_DIVL: in std_logic_vector ( 3 downto 0 );
IIC_CLK_ECLK: out std_logic;
IIC_CLK_CLK: out std_logic
);
end component;
------------------------------------------
------------------------------------------
signal IICFsmClk: std_logic;
signal IICFsmClkFlag: std_logic;
--signal IICFsmSdaIn: std_logic;
signal IICFsmSdaOut: std_logic;
--signal IICFsmStartSdaOut: std_logic;
--signal IICFsmStartSckOut: std_logic;
--signal IICFsmStopSdaOut: std_logic;
--signal IICFsmStopSckOut: std_logic;
--signal IICFsmWriteSdaIn: std_logic;
--signal IICFsmWriteSdaOut: std_logic;
--signal IICFsmWriteSckOut: std_logic;
--signal IICFsmReadSdain: std_logic;
--signal IICFsmReadSckOut: std_logic;
signal IICFsmClkCnt: std_logic_vector ( 3 downto 0 );
signal IICFsmPaseReg: std_logic_vector ( 3 downto 0 );
--signal IICFsmStatsnCS: std_logic_vector ( 7 downto 0 );
------------------------------------------
begin
IIC_FSM_CLK: IIC_CLK
port map (
IIC_CLK_CLKIN => IIC_FSM_CLKIN,
IIC_CLK_RST => IIC_FSM_RST,
IIC_CLK_CS => IIC_FSM_CS,
IIC_CLK_DIVH => IIC_FSM_NUM ( 7 downto 4 ),
IIC_CLK_DIVL => IIC_FSM_NUM ( 3 downto 0 ),
IIC_CLK_ECLK => IIC_FSM_ECLK,
IIC_CLK_CLK => IICFsmClk
);
IIC_FSM_IICCLK <= IICFsmClk;
IIC_FSM_PASE:
process ( IIC_FSM_RST,IIC_FSM_CS,IICFsmClk )
begin
if ( IIC_FSM_RST = '0' ) then
IICFsmClkCnt <= "0000";
IICFsmClkFlag <= '0';
IICFsmPaseReg <= ( others => '0' );
elsif ( rising_edge ( IICFsmClk ) ) then
if ( IIC_FSM_CS = '0' ) then
IICFsmClkCnt <= IICFsmClkCnt + "0001";
case IICFsmClkCnt is
when "0000" =>
IICFsmPaseReg <= "0000";
IICFsmClkFlag <= '1';
when "0001" =>
IICFsmPaseReg <= "0001";
IICFsmClkFlag <= '0';
when "0010" =>
IICFsmPaseReg <= "0010";
IICFsmClkFlag <= '0';
when "0011" =>
IICFsmPaseReg <= "0011";
IICFsmClkFlag <= '0';
when "0100" =>
IICFsmPaseReg <= "0100";
IICFsmClkFlag <= '0';
when "0101" =>
IICFsmPaseReg <= "0101";
IICFsmClkFlag <= '0';
when others =>
IICFsmPaseReg <= "0000";
IICFsmClkFlag <= '0';
end case;
else
IICFsmClkCnt <= "0000";
IICFsmClkFlag <= '0';
end if;
end if;
end process IIC_FSM_PASE;
end Behavioral;
i use ise 10.1
but,i compile it there is some warming
WARNING:Xst:2677 - Node <IICFsmClkCnt_3> of sequential type is unconnected in block <IIC_FSM>.
WARNING:Xst:2677 - Node <IICFsmClkCnt_2> of sequential type is unconnected in block <IIC_FSM>.
WARNING:Xst:2677 - Node <IICFsmClkCnt_1> of sequential type is unconnected in block <IIC_FSM>.
WARNING:Xst:2677 - Node <IICFsmClkCnt_0> of sequential type is unconnected in block <IIC_FSM>.
i want to deal with this warmning,what can i do!