Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

why hold violation are important after post routing

Status
Not open for further replies.

balavinayagam

Member level 3
Joined
Feb 24, 2010
Messages
59
Helped
9
Reputation
18
Reaction score
8
Trophy points
1,288
Location
banglore
Activity points
1,630
hi all
i have a basic question. why hold violations are more important at the final stage of design after post routing ? is setup violation fixed before that??

and how are hold violations fixed after CTS

thanks in advance
 

Hold viols is all about clock skew and data path delay. After CTS, you got clock skew done(provided no clock routing gets changed afterwards), but routing isn't done yet. You can fix hold after CTS, but it could overkill your design. Imagine there is a path with hold viols after CTS, but because of the congestion, the routings on this path went scenic route and data path delay got slower than estimated wire delay from global route. Despite you didn't need to fix this path, since you didn't see this happening before routings,you might fix it unnecessarily.
Plus, you need to fix the viols caused by coupling cap after routing.
 
Hi,

After CTS, there is global routing which is an estimate of routing track allocation at any place. As this is not an actual routing, it may not correlate well to detailed routing!

If there are thousands of very small hold violations (e.g. 20ps hold slack) and you want the tool to fix them just after CTS, you'll insert thousands of hold fixing buffers that may not be actually needed if detailed routing will add some delay to the net itself. You'll again need to fix the remaining hold violations which may increase the total number of hold buffers needed.

These extra buffers may kill your design if you have a congestive-sensitive design, leading to days/weeks to recover it. Beleive me, this will not be the thing you'll want if the tape-out day is upon you!

However we should keep in mind that ideally there should not be this miscorrelation between pre/post routing. Tool vendors and design kit responsibles are working hard to minimize this miscorrelation. Personally I think, there will always be miscorrelation unless cts is implemented with real routing aware algorithms.

Best regards,
Gokhan
---
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top