Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Which simulator and compiler support System Verilog?

Status
Not open for further replies.

Johnson

Advanced Member level 2
Joined
Oct 4, 2004
Messages
520
Helped
28
Reputation
56
Reaction score
7
Trophy points
1,298
Activity points
3,613
System Verilog

How much SV is using in IC design? Which simulator and synthesize tool do support it completely?
 

System Verilog

HI
SV in designing is just now only picking up its pace. only DC will synthesize the SV and i am not comp
letly sure whether it supports all the constructs or not (for designin)

Thanks and regards
Deepak
 

System Verilog

And what about simulator, what simulator can support SV?
 

Re: System Verilog

Hi,
I know that ModelSim supports most of the SV stuff - whether its design or verification- and you can check the TechNotes to know to what extent is the SV supported.
ModelSim PE student Version (Free Version) : SV design and Verification (Parts ; Assertion Based Verification and other features aren't supported)
ModelSim SE : SV design and Verification.
 

System Verilog

Modelsim do not support all SV featurs!
 

Re: System Verilog

No Simulator Supports all the SV features , but what I said is that ModelSim is the one Simulator that supports MOST of SV features.
 

System Verilog

Take a look at QuestaSIM from Mentor!
 

Re: System Verilog

deepu_s_s said:
HI
SV in designing is just now only picking up its pace. only DC will synthesize the SV and i am not comp
letly sure whether it supports all the constructs or not (for designin)

Thanks and regards
Deepak

I know that RTL Compiler (RC) from Cadence supports SV for Synthesis. Also Synplicity has basic support and is increasing.

On the simulator side every major vendor has support for it quite well now. VCS, Questa, NC, Rivera from Aldec. MPSim from Axiom is also adding SV support.

HTH
Ajeetha, CVC
www.noveldv.com
 

System Verilog

NC totally support SV .
 

Re: System Verilog

diag said:
NC totally support SV .

Haha, no. Synopsys VCS is perhaps the gold standard of Systemverilog simulators. It had the most Systemverilog coverage (of the big 3 vendors: Cadence, Mentor, Synopsys) for a long, long time.

Only now, has Cadence Incisive caught up to a competitive level. (Modelsim+Questa were ahead of Incisive for the past year.)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top