Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

When should i go to prime time?

Status
Not open for further replies.

ee1

Full Member level 2
Joined
May 31, 2011
Messages
120
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,298
Activity points
2,036
Hi guys,
In general, when is the right stage to go from icc to prime time? (or from any implemantation tool to sta tool)?
After the design is routed?
After the design has no violation in icc?
Is it possible the sta tool to fix timing issues that the implemantation tool couldnt?

Thank!
 

STA tool just reports all timing issues , it doesn't rectify timing violations.
 

I think do STA in Primetime after the design is routed, either with or without violations, to analyze the critical path, and how to improve timing by analyzing the delay of each nets/cells in the path. You can also use fix_eco_timing command in Primetime to find to the solutions to improve timing.

Thanks.
 
Last edited:

Hi,

Like ICC is implementation tool .. similarly .. PrimeTime is Signoff Tool. So if you talking when should be the right time .. then simple answer is during the signoff time.. means after Implementation has been done.
Ideally the complete implementation means - routed design with no violation in the implementation tool.

But sometime for checking purpose in between the several stages - we use Primetime just to make sure every thing is correct or not. Think in this way that early u come to know the problem in design you can fix that issue early and easily in design cycle. So every design team has different methodology but in general .. after every big stage (like placement/cts/routing etc).. its recommended to run Primetime just to verify that every thing is correct.

STA tool defination is itself is .. Static Timing Analysis-- means its a analysis tool -- so it will report the analysis.. in terms of error /violation ... it can also suggest the possible ways to fix those violations but it will not fix those in your design.

I hope this will help you.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top