Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

When and why should we use pipelining in FPGA?

Status
Not open for further replies.
what do you mean by "structural modelling"?
Usually, you draw out your circuit on paper before writing VHDL, then you write the code to describe your circuit. can you post some of your code?
 

i have coded the component according to project (floating point multiplier) and then am using them by declaring and instantiating them in main program.
the code is attached.
comp_exponent_cal is the main file and i want to pipeline between unsigned_exponent_adder and rilpple_borrow.
please tell me how??
 

Attachments

  • comp_exponent_cal222.txt
    1.3 KB · Views: 47
  • unsigned_exponent_adder222.txt
    1.8 KB · Views: 38
  • full_adder22.txt
    982 bytes · Views: 44
  • half add22.txt
    921 bytes · Views: 37
  • ones_sub22.txt
    993 bytes · Views: 42
  • rilpple_borrow22222.txt
    1.6 KB · Views: 39
  • zeros_sub22.txt
    999 bytes · Views: 41

wow, this really is a back to basics design.
You have written this at a very basic low level. To pipeline it at this level, you will probably have to re-write it with registered added it wherever required (which will be after every adder, and other blocks). You will also have to bring the clock as a port into every entity.

For floating point, I highly suggest using the floating point IP cores provided by the vendors (for free).
 

yes it is very basic level design and thanks..
can i use parallel in parallel out resister(using d flip flop) between two stages for pipelining
or
only use clock with if statement under process declaration inside the components.
 

do you mean register?

you could try having them between stages, but it doesnt lead to a very well planned design.
Personally, I would have put all the registers in with the origional code.
 

and the 2nd option that i think for pipelining

to use clock with if statement (IF clk'EVENT AND clk = '1' THEN) under process declaration inside the components

is this technique is suitable/applied for pipelining??
 

not only is it suitable, it is how most people do it.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top