Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's the use of "&" operator in VHDL?

Status
Not open for further replies.

nge

Junior Member level 1
Joined
Mar 31, 2003
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
95
Hi all,

I need help with the VHDL operator '&'. In some documents, it's been listed as bitwise AND operator but in others it's a CONCATENATION operator. In active-help online help, the first page said it's CONCATENATION and on the next page it's bitwise AND. I really need help. Thanks.

Nge
 

vhdl bitwise or

& is concatenation sign without any doubt
 

bitwise or in vhdl

& - concatination

and - bitwise and operation

any VHDL book will tell u this
 

concatenation operator vhdl

The & operator is a built-in VHDL operator that performs the concatenation of bit_vectors. For example, with the following declarations:

signal a: bit_vector (1 to 4);

signal b: bit_vector (1 to 8);


The following statement would connect a to the right half of b and make the left half of b constant '0'.

b<="0000" & a;

The & appends the a to the end of the "0000" to form a result that contains 8 bits.

Operator: &

The concatenation operator. Each operand must be either an element type or a 1-dimensional array type. The result is a 1-dimensional array type.

hope u r clear now
 

vhdl concatenation operator

It's a concatenation operator in VHDL.
In Verilog works as a bitwise AND operator.
 

vhdl and bitwise

"&" is only for concatenation. see ieee standard of vhdl for more information
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top