Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What's the best VHDL/Verilog/SystemVerilog editor?

Status
Not open for further replies.

darylz

Full Member level 2
Joined
Mar 24, 2005
Messages
129
Helped
5
Reputation
10
Reaction score
1
Trophy points
1,298
Activity points
1,975
system verilog editor

I'm finding a good VHDL/Verilog/SystemVerilog editor software, but it looks like not optimal. Most of software are designed for C/C++/Java coding, not suitable for hardware description languare...
Would you like give me some suggestion on this?
 

systemverilog editor

emacs is the best editor!!
 

best verilog editor

darylz said:
I'm finding a good VHDL/Verilog/SystemVerilog editor software, but it looks like not optimal. Most of software are designed for C/C++/Java coding, not suitable for hardware description languare...
Would you like give me some suggestion on this?

Emacs with all relevant modes - Verilog, VHDL, SV modes.

HTH
Ajeetha, CVC
www.noveldv.com
 

linux verilog editor

I have used gVim and I can say that it's more than great .. but still, most of my friends say that emacs is better
 

notepad++ systemverilog

gvim is easy and it supports sytemverilog too.
It is free available at
www.vim.org
 

verilog editor linux

ModelSim and Xilinx ISE are the best for Simulation and ASIC downloading.
Leonardo Spectrum s best for systhesis point of View.
 

nedit systemverilog

I configured nEdit to accept .va, .vams and .vhdl, i think it's wonderfull..
Also i configured vim to be as great as nEdit..


anoop12 said:
ModelSim and Xilinx ISE are the best for Simulation and ASIC downloading.
Leonardo Spectrum s best for systhesis point of View.
I think they're not speaking about tools to simulate or design here ..
 

verilog editor

GVIM is the best editor, in all the editor i have ever seen.
I haven't used emacs yet, but believe me if you are having gud command on gvim, you don't need to learn anything else

Cheers...
 

emacs systemverilog

thanks for your suggestions! I will try gVim first since it's easier to be installed on both linux and win32 platforms.
 

eclipse systemverilog

ultraedit is the best!
Emacs is too difficult, and VI is too ugly, and Gvim is a stupid thing in Windows...
 

ultraedit systemverilog

emacs and vi look ugly and difficult to those who don't use them .. but once u use them, you will find that they are like miracles ..
 

best vhdl simulator

vi is a good tool to edit lots of filetypes:eg tlc perl verilog.
it has powerful functions.But you need to spend some time to
study vi.
 

xilinx ise systemverilog

yes, vi is the best for me to edit verilog file under linux.
vi doesn't need mouse at all.
new generations perfer using emacs, vim, gvim.
ultraedit is a popular windows but not linux application.
 

systemverilog plugin vim windows

Vim and Emacs, which one is better is not important. The most importance is which editor you can have a guide from your colleagues or your friends. He/she will help you save a lot of time. Learn from expert is the best way!
I feel happy with Vim, :D
 

systemverilog ultraedit

I prefer to use nedit for the new. It's simple and powerful. gvim is also good enough.
 

vim systemverilog

MODELSIM is the best one.
 

eclipse system verilog

Emacs will be the best choise if you familiar with it !
 

eclipse systemverilog plugin

modelsim
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top