Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What violation is more important after CTS: setup or hold?

Status
Not open for further replies.

prithivikumars

Member level 2
Joined
Jul 13, 2004
Messages
42
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
264
Hi,

After CTS I am having either setup violation or hold violation in some of the reg to reg path. In this with which violation you will proceed further.

Prithivi.
 

CTS

hi
after cts we are checking the hold time.why cts buliding the buffer

vamsi
 

Re: CTS

prithivikumars said:
Hi,

After CTS I am having either setup violation or hold violation in some of the reg to reg path. In this with which violation you will proceed further.

Prithivi.

Both are important, I prefer to fix the setup violations before I start worrying about the hold violations.
 

Re: CTS

both will be done. cause setup violation will occur at slow path ,while hold violation will occur at fast path . they will not bother each other
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top