Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What step to run Prime Time?

Status
Not open for further replies.

GoodMan

Full Member level 2
Joined
Sep 30, 2002
Messages
125
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,298
Activity points
646
Hi all,

Can someone tell me about STA step?
What step to run Prime Time for STA? synthesis or layout


3Q
 

Hi GoodMan,

You can run STA after Synthesis or layout. It's depend on your company's flow.
 

GoodMan said:
Hi all,

Can someone tell me about STA step?
What step to run Prime Time for STA? synthesis or layout


3Q

there is a prime time tutorial in SOLD
 
Two types of STA..

pre layout STA --after synthesis
post layout STA ==after layout..

its not just a single step.. lots of iterations are involved to fix all problems
 

Read design
Set environment
Set constrain
Report timing
 

book " Advanced Asic Chip Synthesis " show a normal sta flow about pre/pos layout. You can download from Edaboard.
 

1. Set your PrimeTime env
2. Set your library
3. Read your design and link it to your tech library.
4. Read your clock and constraints.
5. Run PrimeTime to checkand report your paths in your design.
 

when you run post-apr sta, remember you need remove clock uncertainty and latency, and set propagated clock.
and you should set min library for max / min analysis.
 

Better go through Prime TIme manual, rather than going thru books
 

The flow I did was as follows,

...Set library
...Set working environment
...Read in netlist
...Souce constraint file
...Annotate SDF file, either from P&R or design compiler
...Report timing and violations
...Done


HTH
Kelvin
 

<Advance Chip Synthesis>2nd

I think it is a good guide.

h**p://
 

For post-route STA, you might need to read in the SPEF also.
 

I use PT for whole chip timing report even in presim or postsim.
 

Does it needs STA prelayout ?maybe it's a optional ,but i think it's not useful !
 

How Prime Time does the prelayout STA. Can anybody point me too some good appnote for Static Timing Analysis.

Thanks
Satya
 

If you have access to synopsys solvenet web site. search for STA. they have lots of example scripts and app notes on their web site.
 

It is very useful. Thanks for your sharing.
 

do we need to generate .sdf file for a combinational circuit to check the path delay?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top