Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what may i do after synthesis?

Status
Not open for further replies.

wolfkin

Junior Member level 3
Joined
Jul 8, 2002
Messages
27
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
201
hi, dear all,
i have synthesis the my design. but, i don't know what i may do in future, please someone tell me!
thanks!
 

Dear wolfkin,

Then why did you do synthesis for?:)
After synthesis, you may do one of below according to requirement:
1. Post-Simualtion
2. FPGA verification
3. Layout APR

Regards,
chip123
 

hi, chip123, thank you very much!
this design is a interface of a adc.
that adc is inverse, so, for avoiding ip entanglemant, it must modify some parts such as interface.
i have synthesised the design and simulate it by hspice, i don't know what other works must do.
for i do front-end work ago and don't touch back-end work, so, i can't conform whether i do correct and integrity.

thanks & best regards!
wolfkin
 

for ASIC project, you should:

1)Formal verify or Simulation

2)Clock tree generate

3)Place and route

4)DRC + LVS

5)Formal verify or Simulation

6)Timing analyse
 

you chip is ms. i think you must do final simulate with rc (post-sim) after layout. this is for whole chip, not a block only. and you would doing something about test.
all this things are doing by front-end, not back-end. normally.
regards.
 

thanks very much!
i'll do those!

to, aegean.chou, are you a chinese? i am!

thansks & best regards!
wolfkin
 

Dear wolfkin
Why do think aegean are chinese??? :)
How do you do the mixed-signal simulation to your design? By which tools?
 

by aegean's nickname!
the name separate by a dot, and the posfix part is chou that is zhou in chinese, but, in general, chou don't rgard a name in english.
so, i think aegean is a chinese.
ok?

best regards!
wolfkin
 

for digital logic, you don't have to simulate by spice. just a post-synthesis simulation at HDL level is enough!
 

TAPE OUT NET TO PLACE ROUTE
 

Hi, Wolfkin:

You could run your gate level simulation before you start your auto place&route or put into an FPGA. If you make the scan insertion, you can start your ATPG.

Of coures, you should check your synthesis log first. Check the timing violations, area, cell usage .... make sure your syntheser finished the job as what you want... :)
 

If you are finished with the coding and simulation, then first of all you should read the manual of the synthesis tool which you are using. It will tell you about all the steps. You can get the updated manuals from Xilinx, Altera and Mentor Graphics' Leonardo Spectrum from their websites. MultiSim is another very good tool which provides mixed signal simulation.
 

Hi,
I'm familliar with FPGA design, and i did a small project for ASICs. My question is about the P&R and de Maks design for ASIC (CMOS technologie at any level) what are the tools that i have to use??? For the Mask design, is it an automated process, or i have to do it buy hand???? Thanks for your help
 

wolfkin said:
hi, dear all,
i have synthesis the my design. but, i don't know what i may do in future, please someone tell me!
thanks!

After synthesis, You can
1. go home and sleep
2. you can do more(endless) work including FV, DFT, CKgen, P&R, Postsim, STA ......
If you are doing Mixed Signal, then try Hspice(use Nanosim/Hsim/Starsim if ur design are too large) simulation at transistor level.(yes, your digital CMOS gates are transistors indeed)
 

A design flow is a sequence of steps to design an ASIC
1. Design entry. Using a hardware description language (HDL) or schematic entry.
2. Logic synthesis. Produces a netlist—logic cells and their connections.
3. System partitioning. Divide a large system into ASIC-sized pieces.
4. Prelayout simulation. Check to see if the design functions correctly.
5. Floorplanning. Arrange the blocks of the netlist on the chip.
6. Placement. Decide the locations of cells in a block.
7. Routing. Make the connections between cells and blocks.
8. Extraction. Determine the resistance and capacitance of the interconnect.
9. Postlayout simulation. Check to see the design still works with the added loads of the
interconnect.
 

hi
i think it is decided by you aim !
often i syntheis the vhdl code to see the timing and source usage!
we can see if the source usage is reasonable or not and the time consuming is too long! we can take place some wire or something else[/code]
 

Hi,
After synthesis you do scan insertion and then generate your ATPG test vectors. Then you take the netlist to backend P&R, then you do post-layout timing analysis and physical verification. You have to do formal verification after every stage to make sure the netlist has the same functionality.
Thanks,
 

I have loved Honey 's reply this guy says tape out...AMAZING honey keep it up
 

pre-layout simulation
pre-layout timing analyze
post-layout
post-layout simulation
post-layout timing analyze
 

You do timing to verify behavior before getting to physical.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top