Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is the use of modelsim

Status
Not open for further replies.

ryoban

Newbie level 4
Joined
Dec 8, 2005
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,361
I face many difficulties with this software;

1rst--may we use modelsim to simulate an electronic circuit behaviour

2nd--when i write a vhdl of a component, the simulation gives me red wave signals and it seems to be a package error but i don't know how to solve this probleme because i think i have used the necessary libraries. What can i do ?

3rd-- can i use pascal languge to write code to simulate with modelsim ?
 

1. If you can describe your circuit in Verilog or VHDL or SystemC, then ModelSim should be able to simulate it.

2. Red does not mean error. It usually means the state is X, and that is perfectly normal in some projects. If you show us your code, and explain what you expect to see, maybe someone here can help you.

3. No.
 

Hi,
I use Modelsim to simulate my VHDL code. If red letters appear when you complile, it is probably that you 've done something wrong with your code. For example, may be you assign a signal of some type to a different type signal, or syntax error, or you use some operators, which demand to have declare a certain library package.
 

the model sim is used to write VHDL code and simulate it and compile it
 

X shows an unknown value. it means that there is some no value loaded or it cannot be computed.
check ur code carefully. there must be problem in it.
 

how i can learn a modelsim in a better way ?
 

kawish, please clarify your question. Modelsim is an HDL simulator, so are you trying to learn Modelsim's user interface (some of it is easy, some of it is complex), or are you trying to learn an HDL such as VHDL and Verilog?

One way to get started is to read Mentor's PDF file "ModelSim SE Tutorial". (The "SE" may be different depending on which version you installed.) This PDF file should be located somewhere in your Modelsim installation folder.
 

1) Well, if you mean with electronic circuit a circuit with digital components then yes you can describe it's behavior using VHDL, Verilog, system Verilog or system C and you can simulate it using Modelsim (using VHDL & Verilog). But if your circuit contains analog signals with in then you have to use VHDL-AMS and Modelsim won’t help.

2) The output signals depend on your code & your diesgn so red waves aren't either right or wrong.

3) And no you can’t simulate pascal using Modelsim
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top