Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is the difference between "<=" and "=" in Verilog?

Status
Not open for further replies.

bcdeepak

Member level 5
Joined
Jul 28, 2006
Messages
86
Helped
0
Reputation
0
Reaction score
1
Trophy points
1,286
Activity points
1,895
i am new to verilog
plz clear my doubt ..........

to assign something we have to use .....

assign b <= ' 0 '

and when should we use simply b<= ' 0 ' ??????????


and one more doubt .............

what is the difference between " <= " and " = " ?????????

eg :

a <= ' 0 ' and a= ' 0 '
 

verilog doubt

What you need is a reference book for beginner, I think this book is helpful for you:



or
 

Re: verilog doubt

to check condition , i think we have to use a==' 0 ' ??????
 

verilog doubt

Hi,

Please check out the link below:


Someone already submit same question here.
Please read my reply. Let me know if u still have questions.
 

Re: verilog doubt

Check out this...
It will gif u more ideas about the operators and more...
 

Re: verilog doubt

thanks
i got blocking and non-blocking differece .

my another doubt is ...

if we want to assign some value ........ when should we use

assign b <= ' 0 '

and when we can use simply like b <= " 0 '

i mean when the word " assign " should be used and when it should not be used ??????????

assign out = a & b;
or
always @(a or b)
begin
out = a & b;
end

in the above eg , why once " assign out = a & b; " is use and another time simply " out = a & b; " is used
 

Re: verilog doubt

hi friend !!

assign a = <expression >; is the assigning operator in VERILOG

only in VHDL it comes as a <= <expression >;
 

verilog doubt

as a procedural assignment , you can use assign and you may not use it .. but outside the procedure (always or initial) you can't assign a value to a signal without useing (assign)
 

Re: verilog doubt

bcdeepak said:
thanks
i got blocking and non-blocking differece .

my another doubt is ...

if we want to assign some value ........ when should we use

assign b <= ' 0 '

and when we can use simply like b <= " 0 '

i mean when the word " assign " should be used and when it should not be used ??????????

assign out = a & b;
or
always @(a or b)
begin
out = a & b;
end

in the above eg , why once " assign out = a & b; " is use and another time simply " out = a & b; " is used

=================================================
Hi,
In my knowledge, the "assign" statement is a "Procedural Continuous assignment".

For example, the output of a transparent latch will follow the data input when the latch is enabled, but when the latch is disabled, it must ignoew any changes on its data input and retain its last output value until it is again enable.

I think the "assign" statement models this behavior.

Maybe It will be useful for you.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top