Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is the best Verilog Editor ?

Status
Not open for further replies.

hjamleh

Junior Member level 2
Joined
Oct 6, 2005
Messages
24
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,283
Location
Taiwan
Activity points
1,597
[Req]Verilog Editor

Hello,

Just I want to ask about which is the best Verilog editor-GUI from your point of view, please name it and state under which operating system does it work.


Thanx.
 

[Req]Verilog Editor

GVIM
download gvim with verilog/VHDL syntax checker.
it is power editor for all languages.
search google and download need synthax checker for gvim
 

[Req]Verilog Editor

HDL turbo Writer is also a good editor ,but is costly and u need license for it.
but gvim is free and very usefull for all High level programming language.
 

Re: [Req]Verilog Editor

I like Ultraedit for coding verilog code.
 

[Req]Verilog Editor

if you are an eda's user, you must use unix(ex. linux).
if you use unix, you should be try vi(vim).
vi(vim) is the best editor.
 

[Req]Verilog Editor

if you use unix/linux, you should use gvim,
in windows , there is a tool like gvim,it is winvi32!!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top