Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is that warning mean while loading simulation?

Status
Not open for further replies.

JKR1

Junior Member level 3
Joined
Aug 24, 2015
Messages
29
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
208
hi
can anybody help me what does mean that warning while loading simulation?
** Warning: (vsim-3473) Component instance "uut : main1" is not bound.
Is this warning could affect the simulation ??
thanks
 

It means that it didn't find the component main1. Make sure that the component main1 is compiled and that you instantiate correctly that component in your testbench.

Your simulation won't work because there component main1 is "empty".
 
  • Like
Reactions: JKR1

    JKR1

    Points: 2
    Helpful Answer Positive Rating
usually happens if there is some mismatched ports in the actual entity declaration and the component declaration. Basically it means the component instance wasn't attached to the rest of the design so you'll definitely have a simulation that doesn't run correctly.
 
  • Like
Reactions: JKR1

    JKR1

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top