What is meant by "Virtual clock" or a "Virtual clock definition"?

Status
Not open for further replies.
Virtual Clock

virtual clock as the name suggests doesnt exist physically in the design at all, its jus used for the specifying the input / output delay values ( if no clock is existing )

virtual clock can be created same as Create_clock, excpet tht the port/pin name must not be specified !

incase if u have a purely combinational path and if u want to specify a set_input_delay or a set_output_delay for that path, then the virtual clock is used ( this is the one use that i kno ... en1 can come up with more ??? )
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…