Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is a glitch and how to if an equation has a glitch?

Status
Not open for further replies.

sarathdhulipalla

Newbie level 4
Joined
Aug 2, 2004
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
41
Glich

Hi,

What is glich? And how to know if a given equation glitches or not?

Thanks in advance.
 

Re: Glich

I think what you mention is 'glitch'
it happen when some trabsient condition happened
or some combinational signal that was not proper consideration
recoding your state machine or add more latch(DFF) for eash signal which you want to combine together may help to disable glitch
normally in SYN CKT,it's easy to cancel glitch
but in ASY CKT, it need more ckt design skill to remove it!
 

Re: Glich

In many logic design text book, you can find the hazard free design methodology.
 

Re: Glich

And how to know if a given equation glitches or not?

you cannot know that... unless you know the propagation delay of all the gates in the ckt
 

Re: Glich

Gliches or dynamic hazards are unwanted transitions which occur because gates have non zero propagation delays.
Finding if an equation has glitches needs first to be synthesized, and then check if the paths to the output are balanced (have the same propagation delay) then glitches don't occur.

Read Digital Integrated Circuits: A design Prespective Author Rabaey for more details.
 
Re: Glich

Hi,
To ideally avaoid glitches, you have add rendancy in the equation. The minimzation procedure actually divides the equation into independent components. So When the state transits from one component to another there is a possibity of glitch. One way to remove this is by using connection between the independent terms.
B R M
 

Re: Glich

Hi,
Looking at an equation you cannot say if that glitches or not.
Say you are modelling a latch, and both data and enable change
simultaneosuly, the latter being caused by a glitch. This would cause
an incorrect behaviour from the circuit's point of view, although the
equation as such is pretty simple. Glitches are by definition the
transient circuit spikes that may be caused due to a wide range of
reasons, for e.g. voltage fluctuation etc.

Hope this helps
 

Re: Glich

The glith is those little pulse occurred in combinational logic's outputs,

they are caused by different delay time of signal.

best regards




sarathdhulipalla said:
Hi,

What is glich? And how to know if a given equation glitches or not?

Thanks in advance.
 

Re: Glich

A glitch is an undesired short signal arising in an electronic circuit. A glitch can occur if logic can produce a valid output momentarily as inputs change from one state to another even if the state detected is not the initial or final state.
 

Glich

But I think you should think about crosstalk. In some sense, glitch may be caused by neigbour net, or worst layout route, espicialy in 0.13 or lower process
 

Glich

in the equation, if one signal transition "trigger" the output to convert, while another one "dimmish" this convertion. then a "RISE" occurs which will cause "glitch".
 

Re: Glich

Glitch means unwanted signal pulsh which will render the malfunctioning of the design. So in order to reduce or allviate the effects of glitches you shall better use sequential logic instead of combinatory logics to realize your function.

(1) use clock edge to sample the output of the logic so that even some glitches still exist in the generation of this logic but these glitches will not transfer to the following logics
(2) however some times these glitches can not be avoided such as the different clock domain signals transporting process, during which 2DFFs Synchronizer shall be used to alleviate such metastablity due to the glitch related to the sampling clock edge.
(3) some times in order to reduce the possible glitches, some better coding mechansim is applied such as GRAY Coding to replace the ordinary binary coding to reduce the signal transitions so that the function using the GRAY coding signals can generate minimum potential glitches
(4) pay more attention to the enables of the latch and the clocked gate signal, in order to get rid of the bad influence of these signals' glitch, these signals shall be synchronous with one Clock!
 
  • Like
Reactions: u24c02

    u24c02

    Points: 2
    Helpful Answer Positive Rating
Re: Glich

In the asyn or combinational circuit , signal is not stable or timing has problem.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top