Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is a function of PLL and DLL in FPGA ??

Status
Not open for further replies.

jay_ec_engg

Full Member level 3
Joined
Jun 19, 2004
Messages
155
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,296
Location
India
Activity points
1,581
dll fpga

Hi..
what is a function of PLL and DLL in FPGA.. while selecting FPGA... which specifications related to PLL and DLL I should keep in mind.
Can anyone give me some example where PLL and DLLs have played critical role in designing ?
 

fpga dll

When you need clock frequencies that are say 3 times greater than your fundamental clock you may use the clock multiplier block and this will save you an extra clock and also provides synchronization. These blocks use DLLs. PLLs are not as important. They may may be approximated closely by DLL.

So If your appln requires a syncronized higher multiple of your clock use FPGAs that support DLL.

B R M
 

dll in fpga

first of all thanks...
Actually I dont know how to use this feature in FPGA... I worked with altera FPGAs... but i never used thsi tech... and dont know how to use DLL and PLL... How can it multiply clocks ??
please guide me.. it willbe very helpful to me in my present project also...

I heard that some IDT Zero Delay Buffers are also available which wil give u same clocks ( i mean from 1 clock sourse u will get 4 clks as o/p).. so if pin is not a constraint in ur FPGA then i used this tech..

even after deviding a clock inside FPGA.. u used to take it outside as o/p and then again i gave it to the next block as i/p ( physically shorted i/p pin and o/p pin)...Someone told that its better it u do that... how true it is ?
 

difference between pll and dll

PLL and DLL are used to multiply and devide the input clock frequency given to fpga with or without a phase shift in the resultatnt clock.

For xilinx devices goto DCM (Digital Clock Manager) in the coregen to use the fpga PLL or DLL. For actel devices, please goto PLL usage area of their user manual.
 

choosing high frequency clock for pll

PLL and DLL are used to compensate clock input buffer and

clock tree's delay, by using DLL or PLL, a clock input's active edge

and internal DFF's clock edge will occur simutaneously.

that improve IO timing dramatically and ease interface between fpga

and other ASIC chips.

best regards




jay_ec_engg said:
Hi..
what is a function of PLL and DLL in FPGA.. while selecting FPGA... which specifications related to PLL and DLL I should keep in mind.
Can anyone give me some example where PLL and DLLs have played critical role in designing ?
 

difference between pll and dll + fpga

Depend on your system need a clear clock source or not
if you working on High-Frequency(> 68 or 100M)
and it's a sync-system( ex: Sonet....)
you need a PLL (select Altera 20Kxxx or above)
if not ( some system use RC is enough), you dont need a PLL.
 

fpga+dll

actually you can construct ur own PLL infact ADPLL wud be appropriate.. I wud say it would be best if u designed it urself.

a general PLL structure wud have phase detector, a low pass filter and a VCO.

h**p://www.uoguelph.ca/~antoon/gadgets/pll/pll.html

take a look at this..

wud help u

with regards
 

fpga + dcm + dll

Well the pll's and dll's do many jobs in the FPGA or ASIC. They are used to multiply a clock frequency, divide it, provide a phase shifted version of a clock etc. and yes of course a very low skew clock o/p.
The frequency multiplication is must be achieved with the help of a high frequency oscillator inside(although no vendor tells how it is done !!!!!). The phase shifted clock can be used to interface with other components onboard, where you can just delay your clock by some time so that the trace length of the PCB will not add extra delay and your system be fully synchronized with respect to the main clock.
For the difference between PLL and DLL you can refer to any xilinx device user guide. Thank you.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top