what does system verilog event control "iff"

Status
Not open for further replies.

vlsi_maniac

Junior Member level 3
Joined
Apr 9, 2008
Messages
27
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,476
verilog event

below is example where i seen iff statement.

always@(posedge clock iff (reset=1),negedge reset)
if(!reset)
q<=0;
else
q<=d;

what is the use of iff and when should we use it.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…