Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what are the steps after writing the VHDL code ?

Status
Not open for further replies.

makanaky

Advanced Member level 4
Joined
Feb 1, 2007
Messages
104
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
1,944
hi ,

i am new to the digital design .... i just wanna know what are the steps needed after writing the VHDL code for my circuit in order to implement it on FPGA ...

also i wanna know if i can learn how to do these steps in 1 or 2 days because i am implementing a simple circuit for my project

thanks in advance
 

It very simple, just like programming.

After you wirte a C program you compile it, in the same way after you write you HDL you synthesize it. In this case it converts it to gate-level netlist instead of machine-level instructions.

This can be done in many ways. If you are using a FPGA for your project then get free tools from your FPGA vendor like Xilinx/Altera etc. Those tools couldn't get any easier.

If you are designing an ASIC in which case 1/2 days is not even remotely enough. You'll be needing some solid commerical tools. Since you are using VHDL you can try Alliance CAD tools, which are free and a complete set of design tools.

So to answer your question, your next step would be to get a synthesizer and synthesize your HDL. If you have something specific in mind let us know so forumers can answer accordingly.

If your FPGA is Xilinx then get the Free Xilinx WebPack or Quartus for Altera FPGAs. I am not sure about other vendors though. These tools will come with lots and lots of documentation so just go through the basic tutorials.
 

    makanaky

    Points: 2
    Helpful Answer Positive Rating
If you are using a small modern Xilinx FPGA, then you can install Xilinx ISE WebPACK (it's free) as kishore2k4 suggested:
https://www.xilinx.com/ise/logic_design_prod/webpack.htm

Here's my quick guide to creating and building a project in Xilinx ISE Project Navigator:
#754738
 

    makanaky

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top