Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What are the different ways of creating delays in a design?

Status
Not open for further replies.

research_vlsi

Advanced Member level 4
Joined
Nov 15, 2006
Messages
108
Helped
11
Reputation
22
Reaction score
7
Trophy points
1,298
Activity points
1,902
I have one doubt

what are the different ways to create delays in a design?

Note: The Logic should be synthesisable.

Thanks
 

Re: Delay in a design

Could Use a FIFO...
But We could only create Delay of the period equal to the integer Multiples of the clock period.
 

Re: Delay in a design

can u explain briefly about FIFO for delay?
Thanks
 

Re: Delay in a design

through counter or buffer ring
 

Re: Delay in a design

Hi,

You can either include a no. of buffers or even numbers of inverters to create delay.

Thanks..

HAK..
 

Delay in a design

In many libraries delay cells are available. So no need to use discrete buffers or inverters. You can directly take instance of this cell as per your delay requirment.
 

Re: Delay in a design

Thank you friends for your suggestions
 

Re: Delay in a design

Except from buffers and delay cells, you can use whatever mix of gates you want to create
delays... The only contstraint is that you have to use them in a way that they work in a monotonic way, i.e. during the evaluation of the delay every gate should only go once from 0->1 or from 1->0.

Cheers,
Pavlos
 

Delay in a design

using delay cell that provide by foundary
dont use inv for delay
bacause you cannot control the delay time
 

Re: Delay in a design

HI,

If you have delay cells from the library, you can use them for the specified delay.

Thanks..

HAK..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top