Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Warning: NUMERIC_STD.TO_INTEGER: metavalue detected

Status
Not open for further replies.

FPGAs

Member level 1
Joined
Dec 22, 2004
Messages
41
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
Shanghai, China
Activity points
306
metavalue detected

Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0

My modelsim report it, why?
How can i solve it?
plese help me with some idea, thanks a lot!
 

modelsim metavalue detected

FPGAs said:
Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0

My modelsim report it, why?
How can i solve it?
plese help me with some idea, thanks a lot!

This means that the function to_integer is passed a std_logic_vector (or signed/unsigned to be precise) which has a meta value - a value other than 0 or 1 (Say X, Z etc.). While converting it into integer you get these warnings.

Modelsim documentation has good details on this, here is an extract:

MTI Documentation said:
Metavalue detected warning

Meaning
This warning is an assertion being issued by the IEEE numeric_std package. It indicates
that there is an 'X' in the comparison.
Suggested action
The message does not indicate which comparison is reporting the problem since the
assertion is coming from a standard package. To track the problem, note the time the
warning occurs, restart the simulation, and run to one time unit before the noted time. At
this point, start stepping the simulator until the warning appears. The location of the blue
arrow in a Source window will be pointing at the line following the line with the
comparison.
These messages can be turned off by setting the NumericStdNoWarnings variable to 1
from the command line or in the modelsim.ini file.
HTH
Ajeetha, CVC
www.noveldv.com
 
numeric_std.to_integer: metavalue detected

aji_vlsi,
Thanks for your answers.

"These messages can be turned off by setting the NumericStdNoWarnings variable to 1
from the command line or in the modelsim.ini file."

How to turn off the NumericStdNoWarnings in modelsim.ini file?

And this is the sentence in my modelsim.ini file:
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
; NumericStdNoWarnings = 1

I set NumericStdNoWarnings = 0, but failed.
Why? :cry:
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top