Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Viterbi Decoder Verilog

Status
Not open for further replies.

YRAMR

Newbie level 3
Joined
Sep 24, 2010
Messages
4
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,312
Please, I need Verilog Code for Viterbi Decoder with K=9 and Polynomail [561 753] in octal
 

Please try this one from opencores

1.h**p://opencores.org/project,vhcg
 

Please try this one from opencores

1.h**p://opencores.org/project,vhcg

I saw this files before but they have several errors in design and I couldn't run them
 

I saw this files before but they have several errors in design and I couldn't run them
Ok ..then Could you Please Post the Errors so that it could fixed ..
 

Ok ..then Could you Please Post the Errors so that it could fixed ..
This one, has no errors but i can't use it.
if you can use it,tell me please
 

Attachments

  • Verilog_TD-SCDMA_rate=0.5_Viterbi_decoder.rar
    123.2 KB · Views: 52
  • Like
Reactions: jisna

    jisna

    Points: 2
    Helpful Answer Positive Rating
This one, has no errors but i can't use it.
if you can use it,tell me please

What's your exact problem with Core ?
Could you Please State that
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top