Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL version supported by Xilinx ISE

Status
Not open for further replies.

garvind25

Full Member level 3
Joined
Oct 28, 2012
Messages
176
Helped
0
Reputation
0
Reaction score
1
Trophy points
1,298
Activity points
3,066
Hi there,

I am using Xilinx ISE 8.1i and wanted to know the following:

1. Which version of VHDL (VHDL 87, VHDL 93 etc) is supported by Xilinx ISE 8.1i. Also how
to check the same in the tool.

2. Can the same version be updated to support the latest version of VHDL? (this may save
me from downloading the large sized new versions of Xilinx ISE).

Thanks,

Arvind Gupta
 

1. Which version of VHDL (VHDL 87, VHDL 93 etc) is supported by Xilinx ISE 8.1i. Also how
to check the same in the tool.
Since ISE 8.1i appears to have been released in 2005, you can rule out VHDL 2008. Likely it only supports VHDL 87 and 93, and does not support 2000 or 2002, but that's just my guess.
2. Can the same version be updated to support the latest version of VHDL? (this may save
me from downloading the large sized new versions of Xilinx ISE).
I would doubt it. That 'update' if it existed would make it a new version number. To get the best language support, you would have to download the latest version.

Kevin
 

1. Which version of VHDL (VHDL 87, VHDL 93 etc) is supported by Xilinx ISE 8.1i. Also how
to check the same in the tool.

VHDL 93 - and badly.

2. Can the same version be updated to support the latest version of VHDL? (this may save
me from downloading the large sized new versions of Xilinx ISE).

No. 8.1 is 8 years old, they have already announced (over a year ago) ISE 14.1 will be the last ISE and ISE will no longer get any updates. 14.1 does not support 2008.
Vivado Does support some of VHDL 2008.

- - - Updated - - -

PS. The only way to get "updates" for ISE is to get the large file new version.
If you buy millions worth of their chips they may give you a patch to fix specific bugs in a specific version, but if you're anyone else - you get what you're given.
 
OK thanks. I also have version ISE 10.1. Which VHDL version does it support. And how to check this pls. I know basic to intermediate level VHDL and one of my friends suggested me a book by Pedroni (Circuit Design and Simulation with VHDL; 2nd edition). It contains codes using VHDL 2008. Hence I was asking about the tools required before starting of with the book (BTW do you happen to know if the book treats the topics from synthesis point of view also? I was planning to implement them on an FPGA board).

Also, is there any alternate tool for implementing VHDL 2008 on Xilinx FPGAs pls.?

Arvind Gupta.
 

VHDL 93 - and badly.
Very very badly, basically don't bother using any '93. ;-)

ISE 14.1 will be the last ISE and ISE will no longer get any updates. 14.1 does not support 2008.
Vivado Does support some of VHDL 2008.
Actually they said they would stop on 14.x and then later made it specifically 14.7. So ISE 14.7 is the last version and it does not support any VHDL 2008.

If you buy millions worth of their chips they may give you a patch to fix specific bugs in a specific version, but if you're anyone else - you get what you're given.
I'd be surprised they would even do that much. I'd be really surprised if they had a build environment still setup that would support creating a patch for ISE 8.1i.

- - - Updated - - -

Also, is there any alternate tool for implementing VHDL 2008 on Xilinx FPGAs pls.?
Vivado

It is the only Xilinx tool that supports VHDL 2008. If you want to use VHDL 2008 with ISE (and have money to burn) get Synplify and Modelsim.
 
OK. I suppose you mean I should use synplify as synthesis tool and modelsim as simulation tool. right? And I can simply integrate them with ISE by setting the exe path for these two in it. (Pls ratify). Which is the minimum version of the tools required for VHDL 2008 pls. And do you know of any simple tutorial for using these three tools together?

Thanks,
Arvind Gupta
 

OK. I suppose you mean I should use synplify as synthesis tool and modelsim as simulation tool. right? And I can simply integrate them with ISE by setting the exe path for these two in it. (Pls ratify). Which is the minimum version of the tools required for VHDL 2008 pls. And do you know of any simple tutorial for using these three tools together?

Thanks,
Arvind Gupta

Yeah that's what I meant (if you insist on using ISE 8.1, 10.1 etc) if you want VHDL 2008 support. I don't know what is the earliest version of Synplify and Modelsim that supports VHDL 2008 but I'm sure it's probably a version that came out AFTER 2008 ;-)

The way we used it (pre-XST) was to run Synplify as a standalone project, then take the EDIF file output and start an ISE project that used an EDIF file as input. I've always run simulation separately from the vendors tools, I even do that now when I'm using Vivado's simulator.
 

In that case... does vivado 14.7 free version support spartan 3 series...?

Arvind Gupta

- - - Updated - - -

The way we used it (pre-XST) was to run Synplify as a standalone project, then take the EDIF file output and start an ISE project that used an EDIF file as input. I've always run simulation separately from the vendors tools, I even do that now when I'm using Vivado's simulator.

So the flow is to first write the code and then simulate using modelsim, then run synplify to synthesize the code and finally use its output file to configure a Xilinx chip with ISE/ Vivado etc... right?
 

OK sure... BTW the link is for that of ICs supported by ISE and not vivado....
 

Spartan 3 is only supported in ISE 14.7

Vivado 2015.4 only supports 7 series, Zynq, and Ultrascale.
 

What exactly do you want VHDL 2008 to do for you? Most of the added features only really help with testing and verification, and none of these are supported by ISE or Vivado. The only added features are utilities things (like case?, generate changes etc) that you can actually work around with '93 anyway. Stuff that would actually be useful (like the fixed point packages) are still not included or supported - you still have to manually import the '93 compatibility version from here: www.vhdl.org/fphdl

Modelsim does have almost full 2008 support (it doesnt support local package declarations though - and no roadmap to support the feature :/ )

Afaik, synplify does have 2008 support - but again - what do you need? There is NOTHING you can do with 2008 for synthesis you cannot do somehow in '93
 

What exactly do you want VHDL 2008 to do for you? Most of the added features only really help with testing and verification, and none of these are supported by ISE or Vivado. The only added features are utilities things (like case?, generate changes etc) that you can actually work around with '93 anyway. Stuff that would actually be useful (like the fixed point packages) are still not included or supported - you still have to manually import the '93 compatibility version from here: www.vhdl.org/fphdl

Modelsim does have almost full 2008 support (it doesnt support local package declarations though - and no roadmap to support the feature :/ )

Afaik, synplify does have 2008 support - but again - what do you need? There is NOTHING you can do with 2008 for synthesis you cannot do somehow in '93

a book by Pedroni (Circuit Design and Simulation with VHDL; 2nd edition). It contains codes using VHDL 2008.
Though the majority of the code in the book can be easily compiled using '93 and/or '05. I recall seeing a few spots where you would have to use 2008 or otherwise modify the code.
 
Though the majority of the code in the book can be easily compiled using '93 and/or '05. I recall seeing a few spots where you would have to use 2008 or otherwise modify the code.

Being a pedant - I assume you mean '93/'02 - there is no VHDL 2005 standard - that's SystemVerilog.
Either way - 2002 only adds protected types which are of no use for synthesis, so you're still stuck with '93.
 

Being a pedant - I assume you mean '93/'02 - there is no VHDL 2005 standard - that's SystemVerilog.
Either way - 2002 only adds protected types which are of no use for synthesis, so you're still stuck with '93.
Yeah, I meant '02.
 

Great ... the above discussion helped. So since I can use most of the codes of the book by VHDL '93/'02, I assume I can use ISE 10.1 for it. Pls. confirm so that I can close the topic.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top