Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL to VERILOG Program !!

Status
Not open for further replies.

namelik

Member level 1
Joined
Mar 16, 2002
Messages
41
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
170
VHDL to VERILOG Program :

source code !!
 

A commercial option is X - H D L, that also makes the opposite translation.
 

X_HDL

Hi fivaro1,

You said a commercial option is X - H D L, that also makes the opposite translation.

Is there a software that does the same but available freely if yes kindly send me the link.
 

XHDL can do it for free, but output to file is disabled. You can still see the result on the screen and use OCR :D
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top