Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL syntax error........

Status
Not open for further replies.

Vidya Kumar

Newbie level 3
Joined
Dec 5, 2013
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Location
Abu Dhabi
Activity points
22
Can i do assignments like:
a[2]<=b[2]???
When I had made this statement i got
VHDL syntax error:signature must have ']', but found <decimal_literal> 2 instead
What changes need to be done for this statement??
 

How are 'a' and 'b' defined?
 

You need parentheses not square brackets:
a(2)<=b(2) ;
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top