Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL programming mod 4 sequence synchronous counter with JK flip flops

Status
Not open for further replies.

jlauzus

Newbie level 1
Joined
Apr 3, 2013
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,285
Hi I am new and I am trying to write a VHDL program using JK flip fops in maxplus II. I have a write a counter that when x= 0 it counts 2,7,5,3 and when x=1 it counts 3,5,7,2. I was wondering how I would go about writing the code.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top