vhdl: logical and of two vectors of std_logic_vector type

Status
Not open for further replies.

saur

Member level 2
Joined
Feb 23, 2012
Messages
45
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,288
Activity points
1,621
I've two vectors and I want to perform logical and on them:
eg
Code:
...........
mod_ins : IN STD_LOGIC_VECTOR(7 downto 0);
........
signal mod_ena_sig : STD_LOGIC_VECTOR(7 downto 0);
............
if(mod_ins = mod_ins and mod_ena_sig) then
......

It seems to say there is some error in the if statement. Can't figure out what the error is.

Awaiting all the suggestions.
Thanks
Saur
 

Instead of unnecessary brackets around the if expression, you'll want it around (mod_ins and mod_ena_sig) to clarify the operator precedence.

I presume you would find the answer yourself by reading the error message thoroughly. It's a bit more specific than saying "some error".
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…