-- this code would be in a file compiled into <some library>. it doesn't need to be ieee or unisim.
-- it would be accessed with:
-- library <whatever>;
-- context <whatever>.xilinx_with_mostly_safe_math;
context xilinx_with_mostly_safe_math is
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.numeric_signed."-"; -- gets both one and two arg forms
use ieee.numeric_unsigned."+";
use ieee.numeric_unsigned.to_integer;
library unisim;
use unisim.vcomponents.all;
end context;