Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL if generate statement issue

Status
Not open for further replies.

raghava

Member level 2
Joined
Jul 31, 2008
Messages
51
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,870
HI all,

GAUSS_33: if (K_SIZE = 3) generate
signal x: std_logic;
begin


end generate GAUSS_33;

Is the signal 'x' is visible to outside of generate statement.
When I use signal 'x' outside, I am getting error message that signal not declared.
How can I do..

Any help is appreciated.

Regards
 

It is now allowed to define a signal inside FOR (or IF) - GENERATE operator.
Just read "VHDL circuit design", by Pedroni, or something like that.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top