Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl coding for fft application

Status
Not open for further replies.

revathivenkat

Newbie level 5
Joined
Mar 4, 2012
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,358
Hi,i am in need of vhdl code to perfom multiplication of complex numbers for fft in vhdl.....help me in this regard...
 

i know to do multiplication of ordinary numbers in vhdl.....but no knowledge about how to represent or do operations in complex numbers(real,imaginary parts)...
 

you can store them however you want. They are two different numbers at the end of the day.
 

VHDL has no predefined (synthesizable) complex data types. You have to define the representation (rectangular or polar) and the operations.
You do a complex rectangular multiplication like this:

(a+jb) * (c+jd) = ac-bd + j(ad+bc)
 
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top