Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL codes for matrix operations such as add, multiply, subtract and divide.

Status
Not open for further replies.

2M

Newbie level 5
Joined
Aug 31, 2007
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,345
hi

i need the vhdl codes for matrix operations such as add, multiply, subtract and divide.

thanx
 

Re: VHDL

2M said:
hi

i need the vhdl codes for matrix operations such as add, multiply, subtract and divide.

thanx

What do you mean by Matrix operations ? .. is it like multiplying an array by an array for example ?
 
  • Like
Reactions: Ratna Kumar

    2M

    Points: 2
    Helpful Answer Positive Rating

    Ratna Kumar

    Points: 2
    Helpful Answer Positive Rating
VHDL

yes , it is

Added after 22 minutes:




Never mind ! the problem is solved .
 

Re: VHDL

I have the same problem.I want to tranport a matrix(array) and myltiply.If you have the solution please give me some information.
 

VHDL

That's Simple :

Use SRAM and Use Counters

and Do what you need

with the Help of
Finite State machines as Memory Controllers
 

Re: VHDL

Have you got any code sample???????Because is a lot confuse.
 

Re: VHDL

I want to do transport of 100X4 matrix to 4 X100 and to multiply this two matrices.And I DON NOT KNOW HOW TO DO
 

VHDL

Transport is not a Problem in the Sense ...

if you have stored elements in memory in linear fashion U can access them the way you like just by suitable adjusting Indices

and as for Multiplication

1 -You can multiply Sequentially... Vey Lengthy Processs... You need to use a MAC (Multiply and Accumulate) Block for that ...okz!!!!!!

2. But u can opt to optimize ...using Parallelism

SEE more about Strassen Algorithm .....

Hope u can click Helped me Now
 

Re: VHDL

Hi
I need to do the matrix addition, subtraction and multiplication in VHDL. Could you please help me with sample code. I am new to VHDL.
I really appreciate your help.
 

Vipinlal - that example would be much nicer if you used attributes inside the function rather than named constants. it would be more self contained.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top