Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

vhdl code for D to A converter

Status
Not open for further replies.

kvssrohit

Newbie level 2
Joined
Oct 18, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,290
vhdl code for d to a

i need a vhdl code for D to A convertor
 

vhdl code for d to a

You know that D to A means Digital to Analog?

You can make a very simple D/A converter using a resistor network outside an FPGA or CPLD and then just write to those ports.

Just use this search to find the code for the simulation model of a D to A converter:

https://www.google.com/search?q=d+to+a+converter+vhdl
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top