VHDL code for BPSK modulator/Demodulator

Status
Not open for further replies.

draz

Newbie level 6
Joined
Jan 16, 2006
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
bangalore
Activity points
1,408
Hi

Can someone please help me with the VHDL code for BPSK modulator/Demodulator ?

I need this urgently for my Project


Thanks
 

vhdl code for bpsk

try this verilog code


Modulator:-
always @(MOD_IN) begin
MOD_OUT_Q = 'd0;
if(MOD_IN) MOD_OUT_I = 'h3; (-1)
else MOD_OUT_I = 'h1; (+1)
end

De_Mod:-
always @(MOD_OUT_I or MOD_OUT_Q) begin
case({MOD_OUT_I,MOD_OUT_Q})
4'b1100: DEMOD_OUT = 1;
4'b0100: DEMOD_OUT = 0;
endcase
end
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…