Reissner
Newbie level 5
- Joined
- Nov 21, 2013
- Messages
- 10
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1
- Activity points
- 96
Hi all, i am really new to vhdl.
This is my second file:
In line 64 which is
,
i obtain the above mentioned error.
I cannot figure out why, because i use quartus made vhdl file MyVJtag
which contains the lines
So what could be wrong with this?
This is my second file:
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 library ieee; use ieee.std_logic_1164.all; library altera; use altera.altera_syn_attributes.all; entity shiftDrAdder is port ( -- {ALTERA_IO_BEGIN} DO NOT REMOVE THIS LINE! key : in std_logic_vector(7 downto 0); led : out std_logic_vector(7 downto 0) -- {ALTERA_IO_END} DO NOT REMOVE THIS LINE! ); -- {ALTERA_ATTRIBUTE_BEGIN} DO NOT REMOVE THIS LINE! -- {ALTERA_ATTRIBUTE_END} DO NOT REMOVE THIS LINE! end shiftDrAdder; architecture ppl_type of shiftDrAdder is signal tdi : STD_LOGIC; signal tdo : STD_LOGIC; signal tck : STD_LOGIC; -- signal tms : STD_LOGIC; signal cir : STD_LOGIC; -- {ALTERA_COMPONENTS_BEGIN} DO NOT REMOVE THIS LINE! -- IR must have the modi -- 10, 01: read argument 1, 2 -- according DR has length 2 bytes unsigned (could be 8 bits last 0) -- 11 : write result (suffices 8 bits to be read in at least into short) -- 00 : write status (to be defined, spare) component MyVJtag port( ir_out : IN STD_LOGIC_VECTOR (1 DOWNTO 0); ir_in : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) ); end component; -- {ALTERA_COMPONENTS_END} DO NOT REMOVE THIS LINE! begin -- {ALTERA_INSTANTIATION_BEGIN} DO NOT REMOVE THIS LINE! myVJtagInstance : MyVJtag port map ( ir_out => key, virtual_state_cdr => cir,--led(0), virtual_state_cir => led(1), virtual_state_e1dr => led(2), virtual_state_e2dr => led(3), virtual_state_pdr => led(4), virtual_state_sdr => led(5), virtual_state_udr => led(6), virtual_state_uir => led(7), tdi => tdi, tdo => tdo, tck => tck -- tms => tms, ); led(0) <= cir; -- {ALTERA_INSTANTIATION_END} DO NOT REMOVE THIS LINE! end;
In line 64 which is
Code VHDL - [expand] 1 virtual_state_cdr => cir,--led(0),
i obtain the above mentioned error.
I cannot figure out why, because i use quartus made vhdl file MyVJtag
which contains the lines
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 ENTITY MyVJtag IS PORT ( ir_out : IN STD_LOGIC_VECTOR (7 DOWNTO 0); tdo : IN STD_LOGIC ; ir_in : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); tck : OUT STD_LOGIC ; tdi : OUT STD_LOGIC ; virtual_state_cdr : OUT STD_LOGIC ; virtual_state_cir : OUT STD_LOGIC ; virtual_state_e1dr : OUT STD_LOGIC ; virtual_state_e2dr : OUT STD_LOGIC ; virtual_state_pdr : OUT STD_LOGIC ; virtual_state_sdr : OUT STD_LOGIC ; virtual_state_udr : OUT STD_LOGIC ; virtual_state_uir : OUT STD_LOGIC ); END MyVJtag;
So what could be wrong with this?