VHDL 2008 bus inversion

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Hello,

Does VHDL 2008 have special syntax to invert a bus of data like this?

Code:
invert_bus: for index in data_in ' range 
generate 
   data_out ( index ) <= not data_in ( index ) ;        
end generate ;
 

yes - its existed since the start of std_logic_1164 for std_logic_vector and 1987 for bit_vector:

data_out <= not data_in;
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…