Verilog: Weird Warnings WARNING:Xst:1710WARNING:Xst:1895

Status
Not open for further replies.

laserbeak43

Member level 1
Joined
Aug 15, 2008
Messages
33
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Location
Maryland, USA
Activity points
1,491
Hello,
This is a continuation of my project to initialize an LCD on my Spartan 3E Starter Kit. I was successful, If you're curious, the thread is
I've made a new version (starting at line 100)of the code that should allow me to write a character to the LCD, but it doesn't seem to be working. And i'm getting weird warnings.
I've pasted them here:
**broken link removed**

and these are warnings that i get if i comment the section out.
WARNING:Xst:1780 - Signal <stateDD> is never used or assigned.
WARNING:Xst:646 - Signal <init> is assigned but never used.
the first one makes sense to me, since it's the name of the commented state. but the second one doesn't, cause init IS in use.

Can someone please help?
Thanks
 
Last edited by a moderator:

can someone please help, it's very important.
thanks

Added after 1 hours 10 minutes:

my latest code
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…