Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

verilog to VHDL Converter

Status
Not open for further replies.

usman

Junior Member level 3
Joined
Aug 4, 2005
Messages
27
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,281
Activity points
1,502
AOA to ALL!!!!
is there any tool(software) available that can convert verilog code to VHDL code. i.e verilog to VHDL converter....
regards,
Muhammad Usman
 

is this tool (X-HDL) free available for downloading...........plz mention the link....
 

**broken link removed**
 

Hi

If you prefer a free/open source program try this.

1. h**p://www.ocean-logic.com/downloads.htm
2. h**p://www.ocean-logic.com/pub/vhd2vl.tgz

* -> t


tnx
 

from the above web,i can't download the software.
 

xihushui said:
from the above web,i can't download the software.
It works and for vhdl to verilog, not verilog to vhdl
 

betao:i know,i want to say i can't download the software!could you kind enough to send the software to my email?jlong-323@163.com
thank you
 

Hi,xihushui

when I try to send the software to you by pm, the
system noticed me that it has been posed before,please try the links below:

good luck!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top