Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Verilog output viewing

Status
Not open for further replies.

surajdash

Junior Member level 2
Joined
Jun 21, 2011
Messages
22
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,440
Can someone tell me how do i see waveforms of signals that are neither inputs or outputs of a verilog code but are used in the program in the modules.
 

Which simulation tool are you using?
 

Check this doc:
**broken link removed**

browse down and you will see how to see the internal signals in xilinx ise tool. I will copy paste the relevant part here:
While running simulations on your designs, you will probably find the need to be able to view internal
signals and their values as the simulation runs on via the timing diagram. To get this working, draw your
attention to the left most panel when ISim opens, called “Instances and Processes”. Here you can view
all of the running instances of designs and processes within each design in your current test bench. To
get the items from the decoder to show up in your timing diagram, click the down arrow on
“counter_tb”, followed by the down arrow on “uut”. This will show you all of the processes running
inside of the design as well as internal signals used within. To display an internal signal in the timing
diagram, highlight one such as “count” and right-click, followed by Copy.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top