Verilog: displaying Real number during simulation

Status
Not open for further replies.

sctneh

Newbie level 1
Joined
Dec 1, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
i'm currently doing a simulation debug involving calculations. The real numbers i'm interested to observe involve some maths regarding $realtime. I believe something's wrong in the behavioral model of the PLL when it's calculating the period of the refclk/fbclk etc...

Unfortunately, the data stored as "real" cannot be pulled out as sim waveforms. Is there a workaround? Is it possible to store the real numbers into "reg", and then display the waveform? Pls advice...many thanks.
 

Why can't you probe real signals in your waveform viewer? Which simulator are you using?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…