Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

verilog coding for spartan 3e lcd

Status
Not open for further replies.

infoirfan

Newbie level 1
Joined
Sep 15, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
chennai
Activity points
1,287
spartan 3e lcd display

hi friend,

my name is irfan working in a project center... i am using spartan 3e kit in which lcd verilog code is not performing well.......... has any one have perfect verilog code with description of each line......... and at the same time i need verilog coding for displaying the number by incrementing itself for example from 1 to 100..........

if possible give me verilog coding for uart also...

thanks in advance,
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top