Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog coders needed to write programs for Journal

Status
Not open for further replies.

verilog_coders

Newbie level 1
Joined
Mar 6, 2006
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306
Verilog coders requested

Hi friends,
We are a group of Hardware Engineers in US working towards writing a journal on Verilog and we might soon write a book too on the language.

We would be writing various examples of different modules in Verilog and due to the heavy nature of work we have on our hands, we request all the Verilog users to help us in writing different programs.

We would suitably reward those who help us out with writing different programs, instantiations, etc. We are specifically looking for Verilog users and students who are learning Verilog to give us their ideas and inputs.

For further details, you may contact me at verilog_coders@yahoo.com.
 

Verilog coders requested

That's an interesting idea...
Why don't you aske here what people want to see in your book and provide some inputs?

Good luck
 

Re: Verilog coders requested

Why new book on verilog when there are dozens of good books available in
market?? What new ur book is going to teach??
 

Verilog coders requested

give verilog example about

JPEG(DCT/IDCT...)
MPE4
H.264
H.263
2D/3D/Scalling
USB2.0/PCI EXPRESS/USB OTG
I2C Master
I2S
multiplier(CSA.......)

I suggest you give the example that no(less) other
verilog book have
then your book will be the best seller!
 

Verilog coders requested

give verilog example about
mepg4
mp3
reed solomon
i expect!!
 

Verilog coders requested

Have you ppl come up with a broad idea of who this book is going to cater to ? Based on that, we could write either small examples or something more than that.
 

Verilog coders requested

Thats good ideal but I think there are so many book about this. If you write the book for application and have many example I think it be better

Test
 

Verilog coders requested

some of the examples suggested are IPs. I doubt if anyone will put them in their books.
 

Verilog coders requested

any one have some information about Reed Solomon Decoding.
 

Re: Verilog coders requested

zahedi79 said:
any one have some information about Reed Solomon Decoding.
I am a student of Huazhong University of Science and Technology in china,I am now study in microelectronics and learning Verilog HDL.What confused me is that how to correctly using the code in Quartus ,you know that the software do not suppport some statements ,such as "fork.. join",so why do not write this in your future wonderful book.May be it is nothing for,but it is my suggestion.
Good Luck!
 

Re: Verilog coders requested

Hello
these are MATLAB codes for RS(255,239) Decoding
 

Re: Verilog coders requested

Hello!!
I think that there are many books available in Verilog. So if ur book contains more application oriented programs wid apropos explanation, den i think it could be very much useful. Also try to giv some inputs regarding how to fuse those prog in FPGA.
 

Re: Verilog coders requested

Hello.
I think there are many books which teach syntax,but need a book which concentrates on common errors and applications,i.e., how best or efficient the language can be useful
 

Verilog coders requested

Hello!

why not VHDL coders~

GL!
 

Verilog coders requested

Hi
why not VHDL coders?

because Verilog is so easyier than VHDL
 

Verilog coders requested

Well,I agree with you. Verilog is similiar to C and I am a good C coder. But my teacher who teaches us about CPLD/FPGA just uses VHDL, so I have to study VHDL when I beginning to lean FPGA. And now, I find VHDL is easy.

Craftor
 

Verilog coders requested

i want to see ldpc example code!!!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top