Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

verilog code synthesis

Status
Not open for further replies.

rvkei11

Newbie level 5
Joined
Feb 26, 2010
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,340
Can anyone tell, two dimensional array is synthesisable?
 

Synthesis of array types:
A typical synthesizer supports array types of 1D & an array of an array type.
The basic element of an array must be of size 1 bit.

Synthesizer support arrays of 1D only and the basic element of the array must be a single bit.

--
Amr Ali
 

I think reg [8:0]array[8:0] is synthesisable.... This is eight bit array element...am i right?
 

It must be an array of array type.
define a new type of reg[8:0] then define the an array of the new type.
It will synthesize.
--
Amr Ali
 

Thank you...Can you please tell in verilog reg[8:0]array[8:0][8:0] is synthesisable?
 

Thats a 2D array, they may not be synthesizable.
1D arrays of anything are for sure synthesizable.
--
Amr Ali
 

    rvkei11

    Points: 2
    Helpful Answer Positive Rating
2D arrays are defintely synthesizeable with Synplify Pro when synthesizing into Xilinx technology.

for example the following line:

Code:
logic                 [(WIDTH-1):0]  mem_block    [(DEPTH-1):0]  /* synthesis syn_ramstyle = "block_ram" */;

Will synthesize as a Xilinx Block RAM. You can also direct it to synthesize as a distributed RAM or as a register bank by altering the synthesis directive.

I have used this myself many times.

Note that the syntax above is System Verilog, but Verilog 2001 should work the same way.



r.b.
 

I want to do the image processing, Array[row][col] of 8 bits then want to process in matrix format. Think if we written the code it will simulate but will not synthesis...
 

2D Array's in Xilinx ISE are synthesizable...
yo can check with even software manuals attached along with ISE for reference
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top