verilog code for FIR filter

Status
Not open for further replies.

maniraja84

Newbie level 1
Joined
Mar 6, 2008
Messages
1
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,286
I am in need of verilog code for FIR filter ... That can be synthesizable... Just a basic design of FIR filter.. [/code]
 

    V

    Points: 2
    Helpful Answer Positive Rating
I also would like to have some sample in this problem, can any1 here help? Thanks
 

Hi,

If u can get the book "Digital signal processing with field programmable gate arrays", I think there are a few examples of verilog code for FIR filter design.

Thanks
 
Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…