Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VERIFY ASYNC fifo in verilog - scoreboard implementation

Status
Not open for further replies.

hzhang96

Newbie level 3
Joined
Nov 5, 2009
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
ca
Activity points
1,302
VERIFY ASYNC fifo

I am trying to write a testbench & testcase in systemverilog
to verify an async FIFO.
Could anybody provide some sample code?
How do I test the scenario of writing and reading simutnously?
How can i implement or call the scoreboard?
Thanks!
kitty
 

Re: VERIFY ASYNC fifo

try OVL , it can provide you many examples!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top