realtek
Member level 5
I am a new guy in digital circuit design
Now I need use primetime(pt) to do presimafter DC) and postsim(with sdf)
Q1: I there any complete example (procedure) to learn how to write pt script , I read SOLD turtorial , but it use command like this
compile_stamp -model_file Y.mod -data_file Y.data -output Y
where Y.mod Y.data (Y.db .......) still is a blackbox for me, after following the turtorial , I still cannot understand what kind files I need to do my presim(after dc) STA.
Q2: after read some SOLD, I write a simple scrile
/**************************/
set search_path "."
set active_design MY_TOP
set currt_design {"MY_TOP"}
set clock CLK
read_verilog $active_design.v
read_db typical.db // library
read_db wireload.db //wireload model
current_design $active_design
create_clock -period 20 -waveform {0 10} $clock
set_clock_latency 2.5 [get_clocks $clock]
set_clock_transition 0.2 [get_clocks $clock]
set_clock_uncertainty 1.5 -setup [get_clocks $clock]
check_timing
report_timing
/******************************/
why the path item in report_timing is all 0, what's wrong with this script?
Q3 : If ther are many warning " Creating blackbox for ...."
How to eliminate these warning,can pt accept
//synopsys translate_off......
/**************************************/
beg for help
Tks in advance!!
Now I need use primetime(pt) to do presimafter DC) and postsim(with sdf)
Q1: I there any complete example (procedure) to learn how to write pt script , I read SOLD turtorial , but it use command like this
compile_stamp -model_file Y.mod -data_file Y.data -output Y
where Y.mod Y.data (Y.db .......) still is a blackbox for me, after following the turtorial , I still cannot understand what kind files I need to do my presim(after dc) STA.
Q2: after read some SOLD, I write a simple scrile
/**************************/
set search_path "."
set active_design MY_TOP
set currt_design {"MY_TOP"}
set clock CLK
read_verilog $active_design.v
read_db typical.db // library
read_db wireload.db //wireload model
current_design $active_design
create_clock -period 20 -waveform {0 10} $clock
set_clock_latency 2.5 [get_clocks $clock]
set_clock_transition 0.2 [get_clocks $clock]
set_clock_uncertainty 1.5 -setup [get_clocks $clock]
check_timing
report_timing
/******************************/
why the path item in report_timing is all 0, what's wrong with this script?
Q3 : If ther are many warning " Creating blackbox for ...."
How to eliminate these warning,can pt accept
//synopsys translate_off......
/**************************************/
beg for help
Tks in advance!!