Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Using generate statements inside another generate statement in Verilog

Status
Not open for further replies.

vlsi_freak

Full Member level 2
Joined
Sep 3, 2007
Messages
127
Helped
14
Reputation
28
Reaction score
8
Trophy points
1,298
Activity points
2,041
Hi All,

Does verilog allows to use generate statements inside another generate statement.
I have a requirement as shon below,

generate if (A >1) // A is a top level generic
generate for (i=0; i<10; i=i+1)
begin : START
logic.....
end
endgenerate
endgenerate

The above gives errors while compiling. Any other option to use generate inside another generate.

regards,
freak
 

generate verilog

Hi,

Basically i use VCS. But this should be synthesizable and independant of simulator.

regards,
freak
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top