Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

urgent help for maiden project!!!!!

Status
Not open for further replies.

the_phoenix

Newbie level 6
Joined
Jul 26, 2006
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,439
Hey guys!!!!

well, i'm a nwebie at vlsi. We r expected to perform a basic project in class on an FPGA kit.
i have decided to perform the following project.

h**t//:www.fpga4fun.com/PongGame.html

Now, i need some help as regards to the way i proceed in implemeting the code in XILINX iSE.

I mean, what all files do i need to include in my main project and what r the other things i need to do. I do know the hardware configs of the serial port as shown in the diag.

plz elaborate in a step wise manner, the way i need to proceed to implement this project on XILINX ISE beginning from the time i enter the code in the editor.

Plz help me urgently, i just want to run it and see the pong ball bounce .
and although i have the code yet i dont know how to proceed with it.
cheers

Added after 34 minutes:

and yeah, as far as what i had done till now.

1) pong.v and hv_sync.v added to project.

2)clicked on assign pin

but could not figure out what to do for the Xinlinx Pace pin outs.

3) could not get the generate programming file for final download.

plz help with pin configuration.

thx
 

If you want to generate programming file for final download you must add to your project ucf file with pin locations.

I generate for you it but you must change pin numbers (LOC=P**) for thats which will
pass to your unit.
 
hi. i don't know if this helps but try to see the exact pin locations in the FPGA chip which you are using. Use data specifications sheets. you must put the exact pin. if u are using an IO board, you must also make sure that the in-out pins matches to that of the system board. hope this helps.

Added after 1 minutes:

hi. i don't know if this helps but try to see the exact pin locations in the FPGA chip which you are using. Use data specifications sheets. you must put the exact pin. if u are using an IO board, you must also make sure that the in-out pins matches to that of the system board. hope this helps.

Added after 3 minutes:

hi. i don't know if this helps but try to see the exact pin locations in the FPGA chip which you are using. Use data specifications sheets. you must put the exact pin. if u are using an IO board, you must also make sure that the in-out pins matches to that of the system board. hope this helps.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top